ตัวกรอง

การค้นหาล่าสุดของฉัน
คัดกรองโดย:
งบประมาณ
ถึง
ถึง
ถึง
ประเภท
ทักษะ
ภาษา
    สถานะงาน
    2,000 w5300 ethernet fpga verilog งานที่พบ, การเสนอราคา USD

    ...areas such as C++ programming, the QT framework, and user interface design. Key Skills & Experience: - Strong proficiency in C++ - Extensive experience with the QT framework - Excellent User Interface (UI) design skills - Knowledge in Python and 3D will be a plus The main function: 1: Windows platform, jointly developed by QT+python. 2: Communicate with hardware devices through serial port/Ethernet. 3: Support MODBUS-485, TCP, UDP and other communication protocols, with 1ms cycle response. 4: Support hardware parameter configuration, multi-channel data analysis, storage, data playback, and support real-time data curves at different communication rates. 5: Supports local and cloud dual storage. 6: Port a Python data analysis open source library (provided by us), which need...

    $2132 (Avg Bid)
    $2132 การประมูลเฉลี่ย
    32 คำเสนอราคา

    Hi, this is the first milestone for the new project. It's just a review of the topic. 1. Initial project review £20. I know you’ll need to spend some time researching the ethernet interfaces. I think it’s best that we aim to produce a Modbus TCP interface. I’m paying you to spend some time looking into that. The idea is to find any problems with the approach I’m suggesting before we start.

    $25 (Avg Bid)
    $25 การประมูลเฉลี่ย
    1 คำเสนอราคา

    ...Simulink, and HDL Coder, with a profound understanding of FPGA, particularly the Kria SOM platform. Key Project Details: - The primary goal is to implement an Object Detection DNN on the Kria SOM FPGA. - The approach is real-time video processing, hence the need for efficiency and speed. - The system should be based on the YOLO model, which is pre-trained on the COCO dataset. - The FPGA resource utilization should be analyzed using HDL Coder by running the FPGA in a loop with MATLAB. Ideal Candidate: - Proficient in Matlab, Simulink, and HDL Coder. - Experienced in implementing DNNs on FPGA, particularly Kria SOM. - Knowledgeable on real-time video processing. - Familiarity with the YOLO model and COCO dataset. - Capable of optimizing FPGA res...

    $132 (Avg Bid)
    $132 การประมูลเฉลี่ย
    2 คำเสนอราคา
    Verilog Expert for Quartus Prime Project 3 วัน left
    ยืนยันแล้ว

    I'm in need of a Verilog expert proficient with Quartus Prime Toolchain. Key Requirements: - Professional with Verilog: Need someone experienced in designing digital circuits and implementing specific functionalities using Verilog. - Proficiency with Quartus Prime: Familiarity with the Quartus Prime Toolchain is a must. I need to design, simulate, implement and test a digital circuit using the Quartus Prime toolchain as per the specifications I will provide and demonstrate the workflow when using the Verilog HDL to construct a design for a physical Field Programmable Gate Array (FPGA) target. Please apply if you have the required expertise. No teams or companies please.

    $111 (Avg Bid)
    $111 การประมูลเฉลี่ย
    10 คำเสนอราคา

    As part of this project, I need assistance in performing the following tasks: - Writing VHDL code - Designing FPGA circuits, specifically for Xilinx FPGA The goal is to achieve PWM via VHDL and PS to PL UART communication in the FPGA circuit design. It would be advantageous if you have a strong background in VHDL, FPGA circuit design and specific experience with Xilinx FPGA. Additionally, understanding of PWM and UART communication would be beneficial. Estoy buscando un ingeniero FPGAs y firmware para q haga un pequeño proyecto para hacerlo correr en una tarjeta de desarrollo Pynq-Z2 con el Sw Vivado. Se trata de implementar un PWM de valor de entrada variable, esto en VHDL para cargarlo en la PL de la Zynq. Se trataría de lo siguient...

    $143 / hr (Avg Bid)
    NDA
    $143 / hr การประมูลเฉลี่ย
    8 คำเสนอราคา
    Websocket connection with STM32 and Wiznet W5500 -- 2 3 วัน left
    ยืนยันแล้ว

    I'm seeking a well-experienced developer who understands STM32 and IoT. This job focuses primarily on Ethernet connectivity to achieve optimal functionality. While not necessary I will favor developers who have the hardware at hand and can test themselves before finalizing the project. Any prior experience in Embedded development, File transfer, Network communication would be an added bonus. Key objectives are as follows: - Establish Websocket connection to public domain - With Wiznet W5500 and STM32 microcontroller. The ideal candidate should have: - Proficiency in Wiznet development - Proficiency in STM32 development - Extensive experience with Ethernet connectivity - Understanding of data transfer speeds and protocols. - STM32 and W5500 modules at hand You...

    $150 (Avg Bid)
    $150 การประมูลเฉลี่ย
    24 คำเสนอราคา
    Seeking Freelance Expert for Quick Custom DMA Firmware VIVADO 1 วัน left
    ยืนยันแล้ว

    We are looking for an experienced freelancer to create a custom DMA firmware using this simple guide : The guide provides detailed instructions, but I lack the time to complete it myself. Th...com/Silverr12/DMA-CFW-Guide. The guide provides detailed instructions, but I lack the time to complete it myself. The project will be executed on a Squirrel 35t board and should not take more than 3-4 hours for someone proficient. **Tasks:** - Configure and customize firmware based on pcileech-fpga - Use Vivado for development - Emulate TLP and configure the configuration space **Required Skills:** - FPGA design and programming - Experience with Vivado (Xilinx) - DMA firmware development - Verilog/VHDL programming - Debugging and testing embedded systems

    $212 (Avg Bid)
    $212 การประมูลเฉลี่ย
    9 คำเสนอราคา
    PLC Configuration for Process Control 1 วัน left
    ยืนยันแล้ว

    ...process control system. Key Points: - Model: 100-PLC-010/020 - Purpose: Process Control - Required Communication Protocol: Ethernet/IP Your responsibilities will include: - Setting up the PLC in a way that ensures the smooth operation of the process control system. - Configuring the system to align with the required Ethernet/IP communication protocol. - Conducting thorough testing to ensure the system performs efficiently and effectively. The ideal freelancer for this job should have: - Proven experience in PLC programming, with a focus on process control systems. - In-depth knowledge of the 100-PLC-010/020 model. - Expertise in configuring PLC systems to integrate with Ethernet/IP communication protocols. If you believe you have the skills and experience to s...

    $1098 (Avg Bid)
    $1098 การประมูลเฉลี่ย
    38 คำเสนอราคา
    FPGA Programming for an Advanced Informatics System หมดเขตแล้ว left

    hello, I have a project from 5 parts and I maked the first,secode,and the third part ,i have to finish the 4th part for sunday , please see the specifications in the pdf and the first,second parts iwhich it done in the zip "rendu1-2" and the third part in the another zip "rendu3" task: rendu 4 deadline: this sunday 19 may budget: 30$ language: french,arabic

    $30 (Avg Bid)
    $30 การประมูลเฉลี่ย
    3 คำเสนอราคา
    FPGA firmware emulation หมดเขตแล้ว left

    I need a custom firmware for my 35t squirrel that emulate another device (sound card)

    $30 / hr (Avg Bid)
    $30 / hr การประมูลเฉลี่ย
    15 คำเสนอราคา
    Advanced Verilog Coding for HDL -- 3 หมดเขตแล้ว left

    I am looking for an experienced Verilog developer who can work on my Verilo HDL project. Design a digital circuit for a fruit sorter based on following specification. Develop the block diagram (consists of datapath and control units) and the ASMD chart. Assume that there is a 1-bit RESET signal to reset the circuit and it is asynchronous and active low. In addition, there is a 1-bit CLOCK as the clock. The circuit will start the operation when a 1-bit input signal START is asserted. A fruit detector provides a 1-bit input FRUIT that becomes 1 for one clock cycle if banana is detected and the FRUIT signal will be 1 for two clock cycles if orange is detected. There are 2 different outputs which are OUT1 and OUT2 that will be 1 for one clock cycle for the type of frui...

    $70 (Avg Bid)
    $70 การประมูลเฉลี่ย
    1 คำเสนอราคา
    Image Processing C++ to Verilog Conversion หมดเขตแล้ว left

    ...into Verilog and run on FPGA device using HLS Vitis. The existing project has: - Edge detection capabilities - Image segmentation capabilities The primary goal of this project is not to enhance or alter the images, but to convert the existing codebase from C++ to Verilog, utilizing HLS Vitis. With your expertise: - Maintain the integrity of the current functionalities during conversion - Reframe the C++ code to Verilog language ensuring a seamless running on an FPGA device. The successful bidder should have significant experience with Verilog, C++, and HLS Vitis, as well as a good understanding of Image Processing algorithms, especially Edge Detection and Image Segmentation. The final output of the conversion should result in an image file ...

    $381 (Avg Bid)
    $381 การประมูลเฉลี่ย
    12 คำเสนอราคา
    Pakistan based VHDL/Quartus Expert Needed หมดเขตแล้ว left

    I'm currently seeking an individual who is not only proficient in VHDL coding but also in Quartus design implementation. Key Responsibilities: - Work on specific tasks related to VHDL coding - Implement design using Quartus While the overall aim of the project and the timeline aren't spec...coding but also in Quartus design implementation. Key Responsibilities: - Work on specific tasks related to VHDL coding - Implement design using Quartus While the overall aim of the project and the timeline aren't specified yet, I am eager to work with someone who is flexible and can adapt as per project needs. The ideal candidate for this role should be based in Pakistan, knowledgeable in FPGA programming, dependable, efficient, and proactive when it comes to troubleshoo...

    $400 (Avg Bid)
    $400 การประมูลเฉลี่ย
    7 คำเสนอราคา
    Multi-Channel Analog Audio mixer/router หมดเขตแล้ว left

    ...audio circuits. • Experience with handling multi-channel signals. • Familiarity with line level audio signal processing and mixing. We need an engineer with deep experience in high-end analog circuit design using low noise operational amplifiers. We will provide basic diagrams and objectives. The project involves high quality op amps and line drivers for an audio routing project. We will be using FPGA platforms with SPI and TCP/IP to send commands to digitally controlled audio daughterboards in a card cage configuration. The proof of concept will include circuit design and a functioning prototype of two audio channel for control and noise and sweep analysis of the output. We have pre-selected chip sets and will provide prototype hardware....

    $2264 (Avg Bid)
    $2264 การประมูลเฉลี่ย
    17 คำเสนอราคา

    I'm seeking a proficient developer with experience in Raspberry Pi Pico, USB ethernet programming, NTP (Network Time Protocol) clients, and web server development. Integrate existing open source libraries to achieve the following objective. - Project is completely hosted on a Raspberry Pi Pico board using Arduino IDE, FreeRTOS, and libraries. - Creates an Ethernet endpoint when USB is connected to a Fedora Linux host PC. - NTP client enabled to obtain accurate Global time synchronization. - Web server with a single page offered which display a "Hello World" page with current date and time, and a drop down box where the host can set the time zone. This RPI Pico web page is accessed from FireFox on the Fedora Linux host.

    $118 (Avg Bid)
    $118 การประมูลเฉลี่ย
    15 คำเสนอราคา
    Custom PHP Code for Ingenico POS หมดเขตแล้ว left

    I'm in need of a proficient PHP developer to create a custom code for communicating with an Ingenico POS terminal via Ethernet. Here are the specifics of the project: - **Communication Method:** The primary method for the communication is through Ethernet. You need to be well-versed in this type of communication to tackle this task efficiently. - **Transaction Handling:** The main purpose of the code is to transmit the amount to the terminal and verify if the transaction can be successfully processed. **Requirements**: - experience in PHP - Prior experience with Ingenico POS terminals or similar devices is a plus Please note that this project is solely for the communication between the system and the terminal. There is no need for integration with the banking systems...

    $185 (Avg Bid)
    $185 การประมูลเฉลี่ย
    60 คำเสนอราคา

    Greetings, We are assembling a dynamic team and currently seeking 4-5 proficient Electrical Engineers to join us for a long-term collaboration. This opportunity is ideal for individuals with expertise in electronics, power systems, and communication systems. Key Requirements: - Strong command over MATLAB for data analysis, simulation, and modeling. - Proficiency in VHDL and Verilog for hardware description and digital circuit design. - Experience with multisim or similar simulation software for circuit analysis and design verification. This collaboration offers an exciting chance to work on diverse projects spanning electronics, power systems, and communication systems. We are committed to fostering a collaborative environment that encourages innovation and professional growth. ...

    $11 / hr (Avg Bid)
    $11 / hr การประมูลเฉลี่ย
    15 คำเสนอราคา
    Project for Aryan R. หมดเขตแล้ว left

    Hi Aryan R., these are the two projects: 1) adding Rongta network ( Ethernet and wifi ) connection - Milestone 1 : $200 2) Changing invoice and payment page template to show slide show on the half left side : Milestone2 : $50

    $181 (Avg Bid)
    $181 การประมูลเฉลี่ย
    1 คำเสนอราคา
    Industrial Ethernet Server Host Development หมดเขตแล้ว left

    I need a highly competent developer experienced in RS232 and RJ45 Ethernet for a specialized project. The principal purpose of this project is to convert serial data to Ethernet data. This data conversion is specifically tailored for Industrial control systems. Key competencies and preferences: - Expertise in RS232 and RJ45 Ethernet. - Familiarity with TCP/IP and Modbus TCP because these are the communication protocols the server host needs to support. - A strong background in industrial control systems development. The desired outcome of this project is a seamless data dialogue between devices via Ethernet. Can't wait for your input.

    $551 (Avg Bid)
    $551 การประมูลเฉลี่ย
    16 คำเสนอราคา
    FPGA Board Hardware Implementation หมดเขตแล้ว left

    The goal of this project is using Vivado tools to enable a hardware implementation on an FPGA board. The key requirement from the FPGA board is high computational speed. Therefore, proficiency in Verilog language is preferred as I intend to implement the NTT algorithm. I am looking for a developer who is experienced with FPGA boards and Vivado tools. The chosen freelancer should also have the ability to maximize computing capabilities of the board for the said implementation.

    $311 (Avg Bid)
    $311 การประมูลเฉลี่ย
    6 คำเสนอราคา
    Verilog Code, Simulation and Testbench for Project หมดเขตแล้ว left

    I need verilog code,testbench and simulation for this duty : Design a vector processing system that performs dot product of two vectors kept in the memory. The length of the vector is given as an input and at each clock cycle one element from each vector is multiplied and added. At the end of the processing a valid signal will be raised along with the result. Elements of the vectors are 8-bit unsigned vectors.

    $116 (Avg Bid)
    $116 การประมูลเฉลี่ย
    11 คำเสนอราคา
    Fast NTT Implementation Using Xilinx Artix-7 -- 2 หมดเขตแล้ว left

    I'm in need of a specialized expert to carry out an implementation of the NTT (Number-Theoretic Transform) module on a Xilinx Artix-7 FPGA. The main objective of this project is to enhance computational performance. Key requirements include: - Proficient understanding of FPGA programming, particularly expertise in the Xilinx Artix-7 platform. - An in-depth experience in Number Theoretic Transforms. - Ability to develop a highly efficient, low latency, energy-efficient implementation that significantly boosts computational performance. Please only bid if you feel fully confident in meeting these requirements. Your expertise in this niche task will be highly valued.

    $61 (Avg Bid)
    $61 การประมูลเฉลี่ย
    2 คำเสนอราคา
    Verilog Expert for NTT Design Optimization หมดเขตแล้ว left

    I need a talented RTL designer, proficient in Verilog, to carry out an NTT Implementation project focused on dataflow modeling. Key Requirements: - Expertise in Verilog, with a deep understanding and application of dataflow modeling - Prior experience in RTL design and synthesis - The main goal for this task is to achieve optimization of the design using your Verilog expertise - Attention to detail, punctuality, and efficient communication skills are a must This project offers an opportunity to work with an interesting model and explore optimized NTT implementation. Your contribution to this project will be influential in achieving an optimized design.

    $167 (Avg Bid)
    $167 การประมูลเฉลี่ย
    3 คำเสนอราคา

    Im working on a c++ image processing project , and i need to convert my C++ code to Verilog using HLS vitis , then implement it to run on Ultra96v2 Xilinx FPGA board .

    $471 (Avg Bid)
    $471 การประมูลเฉลี่ย
    16 คำเสนอราคา
    research paper on FPGA Accident หมดเขตแล้ว left

    a comprehensive research paper on an FPGA based Accident Detection and Prevention Software.

    $66 (Avg Bid)
    $66 การประมูลเฉลี่ย
    1 คำเสนอราคา
    FPGA Software for Accident Detection/Prevention หมดเขตแล้ว left

    I'm looking for a seasoned research writer with proficiency in technology, specifically FPGA software systems. The focus is on creating a comprehensive research paper on an FPGA based Accident Detection and Prevention Software. Key objectives include but are not limited to: - Understanding of real-time accident detection - Expounding on measures for accident prevention - Thorough explanation of the emergency communication system The ideal freelancer should be knowledgeable about artificial intelligence and its implementation in accident detection systems. Critically, they should be able to dissect and present complex tech language into a comprehensible writing. Evidence of past research work in this field will be a major plus.

    $13 (Avg Bid)
    $13 การประมูลเฉลี่ย
    4 คำเสนอราคา
    EDA Flow Interface Development หมดเขตแล้ว left

    I'm in need of skilled programmers to develop interfaces for my Place and Route EDA flows. The ideal candidate will have experience in the following: - Proficiency in Python and/or C++ - Familiarity with VHDL, Verilog, and SystemVerilog - Experience in file input generation - Strong file parsing capabilities - Ability to manage EDA flows using TCL The interfaces need to be able to handle the entire EDA flow, from file input generation to error reporting. Experience in developing similar interfaces will be a big advantage. Please include relevant work samples in your bid.

    $26 / hr (Avg Bid)
    $26 / hr การประมูลเฉลี่ย
    29 คำเสนอราคา

    ...following: 1. Connecting a POS computer, monitor, receipt printer, USB card reader and providing remote access to our helpdesk so our techs can configure the system. Work with our helpdesk to test the printer, touchscreen and card reader. 2. Connect a USB camera that measures foot traffic and work with our help desk to configure and test. 3. The building contractor has already set up Ethernet cables and a patch panel. Ideally, you will have tools in case one of the jacks doesn't work it can be tested and crimped quickly instead of having to reschedule the cabling contractor. 4. Install a 24 port PoE switch (provided) on a rack and connect patch cables (provided) to the switch (need screwdriver). 5. Test Internet device provided by ISP and provide our helpdesk ...

    $60 / hr (Avg Bid)
    พื้นที่
    $60 / hr การประมูลเฉลี่ย
    1 คำเสนอราคา
    RS485 Device Reconfiguration and Converter Setup หมดเขตแล้ว left

    I am in need of a professional who can efficiently handle an RS485 device that requires reconfiguration. The scope of this project includes: - The procurement of a ready-made RS485 to TCP/IP Ethernet serial server converter - Installation and configuration of the converter with the RS485 device - Ensuring smooth and effective communication between the RS485 device and the TCP/IP Ethernet serial server Preferred skills and experience: - Proficient in working with RS485 devices - Strong knowledge of TCP/IP Ethernet serial servers and hardware programming - Prior experience with Modbus TCP - Familiarity with Arduino, Raspberry Pi, and ESP8266 Your responsibility will strictly be focused on setup and reconfiguration; no modifications on the RS485 device will be necess...

    $132 (Avg Bid)
    $132 การประมูลเฉลี่ย
    20 คำเสนอราคา
    Customized CM4 Carrier Board Design หมดเขตแล้ว left

    ...(present in CMIO) • Ethernet (present in CMIO) • CANBUS • PCIe (present in CMIO) • SD card slot (present in CMIO) • HDMI to LVDS signal + backlight • AIS class B • Other UART inputs with optocouplers (to be decided) • GPS • GPIO ports accesss via male connector (compatible with Raspberri Pi) These are the main requeriments, maybe other minor will appear during the design. I can provide schemas for all the parts involved (can bus, LVDS, AIS,...). The job consist in the design of the board merging all schemas in one, and generate all the files needed by JLCPCB or oher manufacturer to produce the board. Ideal skills & experiences: - PCB Design and Raspberry Pi familiarity - Hardware architect...

    $228 (Avg Bid)
    $228 การประมูลเฉลี่ย
    13 คำเสนอราคา

    I'm in urgent need of skilled VHDL/Quartus professionals from Pakistan for a project. I will clarify the specifics once a mutual understanding and agreement is reached. Ideal skills for the job include: - Proficiency in VHDL/Quartus - Ability to design, troubleshoot and optimize digital circuits - Ability to work independently or with minimal supervision - Excellent communication skills to effectively explain intricate concepts or problems Experience level can range from beginner to expert. The expectation, however, is the ability to deliver quality work within the stipulated time-frame.

    $210 (Avg Bid)
    $210 การประมูลเฉลี่ย
    4 คำเสนอราคา
    FPGA DEO Nano Traffic Light Programming หมดเขตแล้ว left

    I am currently working on a traffic light project and I need the expertise of a VHDL programming guru. Someone who has had previous experience programming the FPGA DEO Nano development board would be a perfect fit, as that's what I am specifically working with. I am using VHDL to code for the EP4CE22F17C6N board. The base of my project, using a state machine, has already been created. As far as the hardware end of things, I've already prepared the circuit diagram and have started with LED lights and toggle switches. But I do need to make some changes in it as the requirement in order to make it more complex for that I need someone who can do the following additions or changes in the project that I have attached in my zip folder to work exactly as described in the followi...

    $143 (Avg Bid)
    $143 การประมูลเฉลี่ย
    7 คำเสนอราคา
    10000 หมดเขตแล้ว left

    Stepper motor controller in FPGA which generates pulses according to command. verilog code

    $120 (Avg Bid)
    $120 การประมูลเฉลี่ย
    1 คำเสนอราคา

    I am looking for a freelancer to creating a custom carrier board that is specifically designed for Raspberry Pi Compute Module 4. Features: - It should include an Ethernet - Type-C USB port power power. - We're also looking at equipping it with a 4G mobile module (SIM7600 or equivalent that work in Australia/Telstra). - 1 X Sim slot. - 2 X External antenna connectors SMA - 1 X Power LED for CM4 - 1 X Activity LED for CM4 - 1 X Multicolour LED for signal strength of mobile module. - The carrier board should be made as small as possible. Skills and experience: - Freelancers interested need proficiency in electronics and PCB design, along with a deep understanding of Raspberry Pi Compute Module 4. Experience in custom carrier board design and SIM7600 or equival...

    $1542 (Avg Bid)
    $1542 การประมูลเฉลี่ย
    10 คำเสนอราคา
    Python Tutor for Ethernet Switches Understanding หมดเขตแล้ว left

    I'm in need of a Python programmer who can assist me in gaining a comprehensive understanding of network traffic management in Ethernet switches. I have no previous knowledge in networking or any competence in Python, hence the selected candidate must: - Have substantial experience in Python programming - Proficient in Ethernet switches and network traffic management - Demonstrate excellent teaching skills to a beginner. Please note that this job will not only require you to possess these skills but also have the capacity to teach and explain them simplistically. I am a swift learner. However, bear in mind that I am a novice in this field. Your patience and ability to break down complex tasks into simpler, understandable portion will be highly appreciated.

    $19 / hr (Avg Bid)
    $19 / hr การประมูลเฉลี่ย
    24 คำเสนอราคา
    Spyglass Tool Lint & CDC Training หมดเขตแล้ว left

    I'm seeking an experienced trainer for Spyglass tool, with a concentration on Lint and CDC (Clock Domain Crossing). As beginners in Spyglass and proficient in Verilog, we primarily aim to identify and fix coding errors through this training. Ideal Skills and Experience: - Strong knowledge of Lint and CDC in Spyglass tool - Demonstrated experience in coding and debugging in Verilog - Excellent training skills - Ability to create and simplify complex concepts for beginners.

    $11 / hr (Avg Bid)
    $11 / hr การประมูลเฉลี่ย
    5 คำเสนอราคา
    Websocket connection with STM32 and Wiznet W5500 หมดเขตแล้ว left

    ...STM32 and IoT. This job focuses primarily on Ethernet connectivity to achieve optimal functionality. Key objectives are as follows: - Establish Websocket connection to public domain - With Wiznet W5500 and STM32 microcontroller. It's not necessary for this project, but any prior experience in File transfer, Network communication, and Remote device management would be an added bonus. The ideal candidate should have: - Proficiency in Wiznet development - Proficiency in STM32 development - Extensive experience with Ethernet connectivity - Understanding of data transfer speeds. Your job will be to write an optimal code, preferably in STM32CUBEIDE or Keil MDK Arm. The Wiznet W5500 is connected to STM32 via SPI, it should connect to ethernet router with DH...

    $128 (Avg Bid)
    $128 การประมูลเฉลี่ย
    17 คำเสนอราคา
    Artix-7 FPGA Vending Machine Program -- 2 หมดเขตแล้ว left

    ...for a skilled FPGA programmer, who possesses proficiency in both Verilog and Vivado, to construct and operate a user-friendly program for my FPGA board. The selected FPGA board is from the Xilinx Artix-7 family (part: xc7a100tcsg324-1). The program’s main responsibility will be to feature a rudimentary vending machine program with the following specifications: - Two component spaces which will each hold a distinct item. - A simplified interface featuring two push buttons as part of a keypad. - A capable card reader to handle seamless payment processing. - A clear 3 digit display that relays instructions and alerts to the user. An ideal candidate for this project should have extensive experience working with Artix-7 FPGA boards and demonstrat...

    $180 (Avg Bid)
    $180 การประมูลเฉลี่ย
    12 คำเสนอราคา
    Artix-7 FPGA Vending Machine Program หมดเขตแล้ว left

    ...for a skilled FPGA programmer, who possesses proficiency in both Verilog and Vivado, to construct and operate a user-friendly program for my FPGA board. The selected FPGA board is from the Xilinx Artix-7 family (part: xc7a100tcsg324-1). The program’s main responsibility will be to feature a rudimentary vending machine program with the following specifications: - Two component spaces which will each hold a distinct item. - A simplified interface featuring two push buttons as part of a keypad. - A capable card reader to handle seamless payment processing. - A clear 3 digit display that relays instructions and alerts to the user. An ideal candidate for this project should have extensive experience working with Artix-7 FPGA boards and demonstrat...

    $97 (Avg Bid)
    $97 การประมูลเฉลี่ย
    4 คำเสนอราคา
    Cryptocurrency PCB Prototype Design หมดเขตแล้ว left

    I need a proficient Embedded Engineer to design a PCB prototype for cryptocurrency mining. An efficient board that carries 1 to 16 chips (ASIC, FPGA, and/or Microcontrollers) is expected, with the ability to handle 5V (200W) Max. Strong expertise in Electrical Engineering and experience in designing a 4 layer PCB board is preferable; those with a background in cryptocurrency technology hold a significant advantage. Deliverables include the final working PCB prototype model. Reliability, accuracy, and attention to detail are crucial for this project. - BOM File has to be provided - CPL & Gerber files has to be provided - NEEDS to be cost-effective for mass production - Any mining algorithm should/could be programmable - Considerable high hashrate is expected - Raspberry Pi 3/4/5...

    $602 (Avg Bid)
    $602 การประมูลเฉลี่ย
    18 คำเสนอราคา
    FPGA Program Build & Analysis หมดเขตแล้ว left

    I am in need of a seasoned FPGA programmer, proficient in Verilog and Vivado, who can build and run a program for me on a ZYNQ 7000 FPGA board. Our primary goal is: - To work on a program that performs Homomorphic Encryption Algorithm, by analysing its architecture - You'll need to identify the blocks responsible for addition and multiplication operations, as well as enumerate all IO used for these operations. Ideal candidate should have: - Extensive experience in conveying complex FPGA architectures in an understandable form - Proficiency in using Vivado for hardware simulation

    $17 / hr (Avg Bid)
    $17 / hr การประมูลเฉลี่ย
    11 คำเสนอราคา
    Ethernet/IP (EIP) Slave Developer (.NET Core) หมดเขตแล้ว left

    Title: Ethernet/IP (EIP) Slave Developer (.NET Core) Responsibilities: Develop an Ethernet/IP (EIP) Slave implementation using .NET Core and C#. Ensure compatibility and compliance with the Ethernet/IP specifications and standards. Integrate the EIP Slave functionality with the provided client for testing purposes. Implement data exchange mechanisms to communicate with the client device using Ethernet/IP protocol. Develop error handling and recovery mechanisms to maintain robust communication between the slave and client. Collaborate with the team to understand requirements and provide input on system architecture and design. Write clean, maintainable, and well-documented code following best practices and coding standards. Test the EIP Slave implementation tho...

    $11 / hr (Avg Bid)
    $11 / hr การประมูลเฉลี่ย
    5 คำเสนอราคา
    FPGA Edge Detection Algorithm Comparison หมดเขตแล้ว left

    I'm in need of an FPGA expert with experience in VIVADO, to implement different edge detection algorithms, including Canny and Sobel, for the purpose of comparing their performance. Key Requirements: - Implement edge detection algorithms in VIVADO: The primary task is to develop and deploy edge detection algorithms in an FPGA, with a focus on Canny and Sobel techniques. - Algorithm Performance Evaluation: The main goal of this project is to compare the efficacy and efficiency of different edge detection algorithms, so you should have a strong background in image processing and be able to provide a thorough analysis of their performance. - Knowledge of other edge detection algorithms: While Canny and Sobel are the main focus, knowledge of other edge detection algorithms ...

    $126 (Avg Bid)
    $126 การประมูลเฉลี่ย
    2 คำเสนอราคา

    I'm in need of an FPGA expert with experience in VIVADO, to implement different edge detection algorithms, including Canny and Sobel, for the purpose of comparing their performance. Key Requirements: - Implement edge detection algorithms in VIVADO: The primary task is to develop and deploy edge detection algorithms in an FPGA, with a focus on Canny and Sobel techniques. - Algorithm Performance Evaluation: The main goal of this project is to compare the efficacy and efficiency of different edge detection algorithms, so you should have a strong background in image processing and be able to provide a thorough analysis of their performance. - Knowledge of other edge detection algorithms: While Canny and Sobel are the main focus, knowledge of other edge detection algorithms ...

    $14 (Avg Bid)
    $14 การประมูลเฉลี่ย
    3 คำเสนอราคา
    Omron CJ2M-33 CPU & Indusoft Connection หมดเขตแล้ว left

    I am looking for a freelancer with extensive experience in setting up a connection between Omron CJ2M-33 CPU and Indusoft (Aveva Edge) using ethernet. The goal is to execute control operations utilizing this connection. It is paramount that the successful applicant is competent in operating with Aveva Edge 2020 SP3. Skills & Experience: - In depth understanding of Omron CJ2M-33 CPU - Proficient in Indusoft (Aveva Edge) 2020 V20 SP3 - Experienced in ethernet connections - Knowledge in control operations Please highlight your relevant experience and previous similar projects in your application. Also, please specify which driver will you use and why. This is to ensure that you can provide the level of expertise and efficiency required for this task. Your offer will no...

    $96 (Avg Bid)
    $96 การประมูลเฉลี่ย
    12 คำเสนอราคา
    Revisión del desempeño de una red หมดเขตแล้ว left

    Elaborar un documento en formato Word que contenga: Un proyecto para revisar el desempeño de una red este Proyecto debe contar con los siguientes requisitos: ➢ Conexión WiFi o ethernet ➢ Equipo con Virtual Box instalado ➢ Equipo con Pandora FMS Community (virtualizado) ➢ Equipo con Windows 10 de 64 bits ➢ Protocolo SNMP habilitado en el equipo con Windows 10 y activo ➢ Agente de Pandora FMS para Windows 10 de 64 bits instalado y activo ➢ Permisos de administrador en el equipo

    $15 (Avg Bid)
    $15 การประมูลเฉลี่ย
    2 คำเสนอราคา

    I'm seeking expertise in FPGA or DSP-based systems for an autonomous vehicle project. The primary objective is to design an object detection and tracking system with a specific focus on vehicles. Key responsibilities: - Develop a system that can effectively identify different types of vehicles Based on trained model. - Ensure its superiority in optimizing performance and decreasing latency. - Incorporate techniques that allow for the real-time operation of the autonomous vehicle. -RS-232/422 Serial Communication Ports. Ideal Skills and Experience: - Extensive knowledge in DSP or FPGA. - Proven experience in vehicle detection and tracking. - Versatility to craft a reliable solution for autonomous vehicles. - Expertise in real-time systems highly preferred. Your propos...

    $1008 (Avg Bid)
    $1008 การประมูลเฉลี่ย
    20 คำเสนอราคา
    Project for Saddam G. หมดเขตแล้ว left

    Hello, my friend, I'm a Turkish student studying in Turkey. I'm asking for your help on a simple project. My professor has asked me to control motor speed using FPGA-based PID control. I've noticed that you're good at these topics and work with an economical approach, as I've read positive comments about you. I know this isn't a very difficult task. Can we discuss how much we can agree on for this? Please keep in mind that I'm a student and in a difficult situation. Can you help me?

    $10 / hr (Avg Bid)
    $10 / hr การประมูลเฉลี่ย
    1 คำเสนอราคา
    FPGA Image Quality Evaluation with ML หมดเขตแล้ว left

    I'm working on a project that involves evaluating image quality using machine learning on an FPGA. Key Requirements: - The primary goal of this project is to achieve highly accurate results in image quality. - The images I'll be evaluating are mostly photographs. - I'm looking to implement a Convolutional Neural Network (CNN) model for this project. Key Skills/Experience needed: - Proficiency with FPGA development, particularly with VIVADO. - Strong background in image processing and machine learning. - Previous experience with implementing CNN models on FPGA for image quality evaluations would be a great plus. If you're confident in your FPGA skills, have a background in image processing and ML, and have worked with CNN models, I'm ...

    $16 (Avg Bid)
    $16 การประมูลเฉลี่ย
    3 คำเสนอราคา

    I'm in need of an expert in FPGA and machine learning with a focus on Convolutional Neural Network (CNN) and YOLO algorithms. The primary goal of this project is to evaluate image quality with the maximum possible accuracy. Some key details: - FPGA: Experience with VIVADO is highly preferred. - Machine Learning: A strong background in implementing CNN and YOLO algorithms is essential. - Image Size: The desired input image size is 416x416. The project aims to achieve high accuracy in image quality evaluation through these machine learning algorithms on the FPGA. The freelancer is expected to work closely with me to ensure the project meets the desired outcomes.

    $12 (Avg Bid)
    $12 การประมูลเฉลี่ย
    4 คำเสนอราคา